📚

【ゼロからコンピュータを構築する】Goによるアセンブラの実装

2024/03/24に公開

TL;DR

本記事では、『コンピュータシステムの理論と実装』(原題:Build a Modern Computer from First Principles)という有名教材におけるアセンブラの実装について解説します。この教材を受講したことがない方でも、アセンブラの概要と実装内容を理解できるように記述しています。アセンブラの実装コードは以下のリポジトリに公開しています。
https://github.com/yohamta/n2t/tree/main/assembler

『コンピュータシステムの理論と実装(Nand to Tetris)』とは

『コンピュータシステムの理論と実装』は、コンピュータサイエンスの教材として世界的に高い評価を受けている書籍およびコースです(原題:Build a Modern Computer from First Principles: From Nand to Tetris)。イスラエルのヘブライ大学でコンピュータサイエンス学部の教授を務めるNoam Nisan氏とShimon Schocken氏によって作成されました。Courseraでは著者自身による動画講義も公開されています。公式サイトは https://nand2tetris.org/ です。この教材は通称、『Nand to Tetris』と呼ばれます。

この教材は大きくパート1とパート2に分かれています。パート1ではCPU、コンピュータ、アセンブラの開発を、パート2では高水準言語のコンパイラとOSの開発を扱います。基本的な構成要素であるNANDゲートから始まり、論理回路、加算器、ALU、CPU、コンピュータ、アセンブラを順次実装していきます。最終的には高水準言語のコンパイラを作成し、テトリスなどのアプリケーションを動作させることができます。本記事ではパート1の内容に基づいて解説します。

学習できること

Nand to Tetrisではコンピュータがどのように動作しているか、物理層から上の最初の抽象化層である論理回路からアセンブラをマシン語に変換し、簡単なゲームを動かすところまで学習できます。便利なハードウェアエミュレータが提供されているため、自分のPCだけで学習できます。具体的には次のようなことを学べます(前半部分)。

  • NANDゲートを組み合わせて論理回路(最小の素子:チップ)を設計する方法
  • 論理回路を組み合わせて繰り上がりの足し算を行う半加算器、加算器を設計する方法
  • 負数と正数を同等に扱える数値のバイナリ表現(2の補数: 2's Complement)
  • 論理回路を組み合わせて簡単な演算(加算や減算、OR、ANDなど)を行うALU(演算装置: Arithmetic Logic Unit)を設計する方法
  • ALUと論理回路、レジスタを組み合わせてCPUを設計する方法
  • 1bitの情報を保持できるフリップフロップ回路を用いてコンピュータが時間を超えてデータを保持する仕組み
  • フリップフロップと論理回路を組み合わせてメモリを設計する方法
  • フリップフロップと論理回路を組み合わせてCPU内部のプログラムカウンタを設計する方法
  • 周辺機器の入出力をメモリにマッピングし、CPUからメモリバスを通じて入出力を行う仕組み
  • アセンブリ命令をCPUが処理できる命令に変換するソフトウェアであるアセンブラを開発する方法

NANDゲートとは

NANDゲートは「Not And」を意味する基本的な論理回路です。NANDゲートはトランジスタを用いて電流の流れを制御して実装されます。これより下のレイヤーは物理学の領域になるため、コンピュータを実装するエンジニアはNANDゲートを抽象化された最小の部品(CPU上に実装される素子)として扱います。

HackコンピュータのCPUとマシン語

Nand to Tetris で開発するコンピュータは、Hackコンピュータと呼称します。HackコンピュータのCPUは16bitの命令を処理します。CPUは、アセンブリ言語のプログラムが読み込まれたメモリー(ROM)から専用バスを通じて、PCカウンタで指定されたアドレスの命令を読み取り、その命令内容に応じた演算を行います。このCPUが処理できる命令をマシン語と呼称します。

CPUが処理できる命令

HackコンピュータのCPUは2種類の命令を処理できます。一つはアドレスを指示する命令、もう一つは演算を行う命令です。それぞれA命令、C命令と呼びます。MSB(最上位ビット)が0の場合はA命令、1の場合はC命令です。こうした命令に含まれるフラグをコントロールビットと呼びます。CPUはコントロールビットを基にCPU内部のALUに渡し、命令に応じた演算処理を行い、その結果を出力します。以下、HackコンピュータにおけるA命令とC命令について簡単に説明します。

A命令(アドレスを指示する命令)

アドレスを指示する命令はA命令(A-Instruction)と呼びます。これはCPUからメモリを入出力するアドレスを保持するレジスタの値を変更する命令です。このレジスタはHackコンピュータにおいてアドレスレジスタを意味するAレジスタと呼ばれます。また、副作用としてCPUが入出力するメモリ(RAM)の現在参照アドレスが変更されます。これはAレジスタがメモリの参照アドレスとして使われるためです。

レジスタとは:
CPUはメモリとの通信経路であるメモリバスを介してメモリ(RAM)のデータを読み書きできますが、RAMはCPUから離れた場所に設置されるため、CPUがメモリにアクセスした際に無駄なクロック数を消費してしまいます。そのためにメモリ階層(L1、L2キャッシュなど)の最適化が考えられて実装されていますが、CPUがより高速に動作するためにはCPU内部にもメモリが必要になります。そのためにCPUに組み込まれている高速かつ低容量のメモリがレジスタです。CPUは演算内容を指示する6桁のコントロールビットにより、CPU内部のALU(演算装置)の演算内容をコントロールします。例えば足し算や引き算、AND、OR演算を行い、その結果を命令で指定された目的地のレジスタやメモリに書き込みます。HackコンピュータのCPUにはメモリの参照アドレスを保持するAレジスタ、16bitのデータを保持するDレジスタ、プログラムカウンタ用のレジスタの3つが内蔵されています。これらのレジスタはCPUが内部でプログラムの命令を実行する際に使われます。

A命令の例
以下の命令はアセンブリでは@1を意味するA命令です。A命令は、最初の0と15bitのアドレスの数値を格納します。

0000000000000001

C命令(演算を行う命令)

演算(加算や減算、AND、ORなど)を行う命令はC命令です。C命令は3種類の指示から構成されます。(1)演算結果の書き込み先、(2)演算内容、(3)ジャンプ命令です。CPUは一つの命令に含まれる3つの指示内容を論理回路によって判定しALUに入力する値を切り替え、レジスタを操作し、プログラムカウンタを変更します。1つの命令の長さである16bitにこれらの3つの命令の指示が含まれており、論理回路の組み合わせによってCPU内部の処理が分岐します。

演算内容
CPUは演算内容を指示する6桁のコントロールビットにより、CPU内部のALU(演算装置)の演算内容をコントロールします。例えば足し算や引き算、AND、OR演算を行い、その結果を命令で指定された目的地のレジスタやメモリに書き込みます。

ジャンプ命令
ジャンプ命令はCPU内部のプログラムカウンタに保持される値を変更する命令です。プログラムカウンタについては以下で説明します。

演算結果の書き込み先
CPUが処理した内容をどのレジスタあるいはメモリに書き込むかを指示します。メモリに書き込む場合はAレジスタの値がメモリの参照アドレスになります。

C命令の例
以下の命令はM=M-1を表します。

1111110010001000

各ビットの意味を簡単に説明します。

最初の3bit:
C命令では111固定です。
4ビット目:
AレジスタとAレジスタの参照するメモリの値のどちらをALUの入力にするかを切り替える指定です。1の場合はメモリの値をALUに入力し、演算を行います。ALUは2つの入力を基に演算を行います。もう1つの入力はDレジスタの値が入力されます。
5ビット目〜10ビット目:
この6ビットはALUで行う演算内容をコントロールするビットです。
11ビット目〜13ビット目:
この3ビットは演算結果の格納先を指定します。
14ビット目〜16ビット目:
最後の3ビットはジャンプ命令の指定です。

プログラムカウンタ

CPUが実行する命令の一覧であるプログラムはHackコンピュータにおいては専用のメモリ(ROM)に読み込まれます。CPUは現在実行中の命令のアドレスをプログラムカウンタ(PC)と呼ばれるレジスタに保持しており、CPUの外部に配置されたROMから、そのPCアドレスに該当する命令を読み込んで、その命令の内容に応じて演算を行います。プログラムカウンタは、IF文やループ処理を実現するためにROMに読み込まれているプログラムのメモリアドレスの値を格納します。ジャンプがなければ、単純にインクリメントするように論理回路が組まれています。

プログラムカウンタのレジスタの初期値は0なので、コンピュータが起動すると、最初にROMにロードされているプログラムの0番目の命令が実行され、そのたびにプログラムカウンタの値がインクリメントされたり指定のアドレスに書き換えられていきます。プログラムカウンタはCPU内部において、現在実行中の命令のアドレスを保持するレジスタです。

アセンブリ言語(アセンブラ)

CPUが受け取る命令であるマシン語は0と1のバイナリ表現です。1と0だけの命令は人間にとって読みづらく書きづらいので、よりプログラミングしやすくしたものがアセンブリ言語です。アセンブラと呼ばれることもあります。アセンブリ言語は英単語や数字を使って記述できます。アセンブリは、そのままではCPUでは実行できないので、ROMに読み込む前にマシン語に変換する必要があります。この変換を行うのがアセンブラと呼ばれるソフトウェアです。アセンブラはコンピュータの物理的なハードウェアであるCPUの上に位置する最初のソフトウェア層です。Hackコンピュータのアセンブリ言語は、CPUが処理できるA命令とC命令の2つの命令しかありません。

Hackコンピュータにおけるアセンブリプログラムの例:
このプログラムの処理内容は2+3をCPUで計算し、その結果をメモリのアドレス0番に書き込むというものです。

// This file is part of www.nand2tetris.org
// and the book "The Elements of Computing Systems"
// by Nisan and Schocken, MIT Press.
// File name: projects/6/add/Add.asm

// Computes R0 = 2 + 3  (R0 refers to RAM[0])

@2
D=A
@3
D=D+A
@0
M=D

足し算の結果をメモリに書き込むだけで、なぜ6行の命令が必要なのかを簡単に説明します。HACKコンピュータのCPUには前述のAレジスタとDレジスタの2つのレジスタが内蔵されており、演算結果やメモリの参照アドレスを一時的に保持します。HACKコンピュータは16KBのメモリ(RAM)を内蔵しますが、CPUの命令は16bitしかないため、直接メモリアドレスを命令に含めることができません。そのため、演算結果をメモリに書き込むという処理は、(1)CPUの演算結果をDレジスタに格納する、(2)メモリの参照アドレスをAアドレスに格納する、(3)Dレジスタの値をAレジスタが参照するメモリのアドレスに書き込む、という3段階の命令に分けてCPUに実行させる必要があります。

A命令のアセンブリ表現

A命令は@<数値、変数名、またはラベル名>という形式の命令です。数値を指定した場合はメモリのアドレスを直接指定するのと同じです。変数名やラベル名はシンボルとしてアセンブラがマシン語に変換する際に自動的に割り当てられたメモリのアドレスに解決されます。上述の通り、CPU内部のAレジスタとメモリの参照先を変更し、CPUがメモリに入出力するアドレスを変更するための命令です。

A命令のシンタックス: @値

例:

@2   // アドレス
@FOO // 変数またはラベル参照

ラベル

ラベルはラベルの次の行の命令のアドレスの別名として使います。アセンブラがプログラムをマシン語に変換する際にROMにロードされたメモリのアドレス値として解決されます。CPUが処理する命令ではないため、アセンブラがマシン語に変換された結果には含まれません。

CPUがプログラムを実行する際には、現在実行中の命令をプログラムがロードされたメモリ(ROM)から読み取ります。その参照アドレスを上述のA命令で指定することによりCPUがジャンプ命令を処理する際にラベルの次の命令のアドレスがプログラムカウンタに書き込まれます。

ラベルのシンタックス: (ラベル名)

例: 無限ループ

(LOOP)
@LOOP // ラベルの次の命令のアドレスをCPU内部のAレジスタに読み込む
0;JMP // 無条件に最初の命令(`@LOOP`)にジャンプする

C命令のアセンブリ表現

C命令は演算の指示、ジャンプ、格納先を指示する命令です。
C命令のシンタックス: <格納先>=<演算>;<ジャンプ命令>

例: Dレジスタの合計をメモリに格納する

M=D-1;JEQ

この命令がCPUで処理されると以下の3つのことが起きます。

  1. Dレジスタの値-1をCPU内部の演算装置(ALU)で演算する。
  2. ALUの演算結果をAレジスタが参照するメモリのアドレスに書き込む。
  3. ALUの演算結果がゼロと等しい場合、プログラムカウンタをAレジスタの示す命令アドレスに書き換える。

演算部分は、アセンブリをCPUが処理できるマシン語に変換する際に、ALUの演算内容を指示する6桁のコントロールビットに変換されます。格納先とジャンプ命令は必須ではなく、D=AD-1;JEQのように<格納先>=<演算>または<演算>;<ジャンプ命令>のような書き方ができます。

格納先はAMDのいずれか、または複数を指定でき、それぞれAレジスタ、Aレジスタの値が指示するメモリのアドレス、Dレジスタを意味し、マシン語に変換する際に3桁のビットに変換されます。

ジャンプ命令はJEQJGEJLEJGTJLTJMPなどがあり、マシン語に変換する際に3桁のビットに変換されます。ジャンプ命令はCPU内部でALUの演算結果と0を比較し、その結果に応じて、CPU内部のプログラムカウンタの値がAレジスタの値に書き換えられます。例えばD-1;JEQという命令であれば、CPUが命令を実行する際にDレジスタの値が1であれば、プログラムカウンタの値が書き換わります。

マシン語によるプログラム

アセンブラでマシン語に変換し、CPUに処理させることで、以下のようなプログラムを実行できます。

pong

アセンブラ

上述の通り、アセンブラはアセンブリ言語で書かれたプログラムをCPUが処理できるマシン語に変換するためのソフトウェアです。アセンブラは引数としてアセンブリ言語で書かれたプログラム(***.asm)のパスを受け取ります。そして変換した結果を同じディレクトリにHackコンピュータのマシン語プログラムを意味するファイル(***.hack)に出力します。

アセンブラの構成:
アセンブラは大きく分けてパーサー(Parser)、コーダー(Coder)、シンボルテーブル(SymbolTable)に分かれます。パーサーはアセンブリ言語で書かれたプログラムを読み込み、構文を理解するためのプログラムです。コーダーは、パーサーが理解した命令をマシン語に変換するプログラムです。シンボルテーブルは、コーダーがマシン語に変換する際に、ラベルや変数名、予約済みのレジスタをアドレスに変換するためのマッピングテーブルです。

Go言語の実装例を以下に説明します。

Go言語によるアセンブラ実装の例

メイン関数の実装

メイン関数は、引数に指定されたファイルを読み込み、アセンブル処理(マシン語への変換)を行い、その結果をマシン語のファイルに出力します。

// メイン関数
func main() {
	if len(os.Args) < 2 {
		fmt.Printf("usage: %s <file>\n", os.Args[0])
		return
	}
	// ファイルの読み込み処理
	program, err := readProgram(os.Args[1])
	if err != nil {
		log.Fatalf("failed to read program: %v", err)
	}
	// アセンブル実行処理
	assembler := NewAssembler(program)
	codes, err := assembler.Assemble()
	if err != nil {
		log.Fatalf("failed to assemble program: %v", err)
	}
	// ファイル書き込み処理
	if err := writeFile(hackFileName(os.Args[1]), codes); err != nil {
		log.Fatalf("failed to write file: %v", err)
	}
}

アセンブル処理の実装

Hackコンピュータのアセンブリ言語の文法では、ラベルと変数を使用できますが、どちらもA命令で扱うため、ラベル指定と変数宣言を1行だけで区別できません。したがって、まずラベルをシンボルテーブルに登録してから、ラベルではない変数をシンボルテーブルに登録する必要があります。そして最終的にマシン語に変換する際に、ラベルや変数をシンボルテーブルに登録されているアドレスに解決しながらバイナリ表現への変換を行います。したがって、アセンブル処理は以下の3つの処理を行います。

  1. アセンブリのプログラムに含まれるラベルを検出し、シンボルテーブルに登録する
  2. アセンブリのプログラムに含まれる変数を検出し、シンボルテーブルに登録する
  3. アセンブリのプログラムを1行ずつマシン語に変換する
// アセンブル処理の実行
func (a *Assembler) Assemble() ([]string, error) {
	// ラベルの検出
	if err := a.findLables(); err != nil {
		return nil, err
	}
	// 変数の検出
	if err := a.findVars(); err != nil {
		return nil, err
	}
	// パーサーを用いたマシン語への変換処理
	for a.parser.HasMoreCommands() {
		c, err := a.parser.Advance()
		if err != nil {
			return nil, fmt.Errorf("failed to parse command: %v", err)
		}
		// A命令、C命令をマシン語のコードに変換する
		switch c.Type {
		case AInstruction, CInstruction:
			code, err := coder.Code(c)
			if err != nil {
				return nil, fmt.Errorf("failed to code command: %v", err)
			}
			codes = append(codes, code)
		}
	}
	return codes, nil
}

パーサーの実装

パーサーはアセンブリ言語の命令を解析し、コード変換するための内部表現に変換するプログラムです。パーサーはHasMoreCommandsAdvanceの2つのメソッドを介して利用されます。HasMoreCommandsはアセンブリのコードを読み込むループ終了判定に使用するめそっdおです。Advanceは次の命令を読み込み、その結果をCommand構造体で返却するメソッドです。

HasMoreCommandsメソッドの実装:

// まだ読み込むべき命令があるかを返す
func (p *Parser) HasMoreCommands() bool {
	// 空白は無視する
	p.skipWhiteSpace()
	// まだ読み込む命令があるかどうかを返す
	return p.pos < len(p.input)
}

Advanceメソッドの実装

// 次の命令を読み込む
func (p *Parser) Advance() (Command, error) {
	// 空白は無視する
	p.skipWhiteSpace()
	// コメントかどうかを判定する
	if p.isComment() {
		return p.readComment(), nil
	}
	// 次の文字から命令の種類を判定する
	switch p.peek() {
	case '@':
		// A命令を読み込む
		return p.readAInstruction()
	case '(':
		// ラベルを読み込む
		return p.readLabel(), nil
	default:
		// C命令を読み込む
		return p.readCInstruction()
	}
}

Command構造体
パーサーが返却するCommand構造体は、解析された命令の内容を保持するための構造体です。

// パーサーが解析した命令の内容を表す
type Command struct {
	// 命令の種類
	Type    CommandType
	// A命令の指定アドレスを保持する
	Address Address
	// A命令のシンボル(変数、予約レジスタ名、ラベル名のいずれか)
	Symbol  string
	// C命令の演算内容(Computing)を指示する部分のリテラル
	Comp    string
	// C命令の演算内容の格納先を指示するリテラル
	Dest    string
	// C命令のジャンプ命令部分のリテラル
	Jump    string
}

コマンドの種類を表す CommandType の定義は以下の通りです。厳密にはラベルとコメントはCPUが処理する命令ではありませんが、便宜上このようにしています。

// パーサーが解析した命令の種類を表す
type CommandType int

const (
	// A命令
	AInstruction CommandType = iota
	// C命令
	CInstruction
	// ラベル
	Label
	// コメント
	Comment
)

A命令の読み込み処理の実装

A命令の読み込み処理は、@値の値部分が数値かどうかによって切り替わります。指定された値が数値の場合は、メモリのアドレスを表すため、CommandAddressフィールドに値を設定します。それ以外の場合は、ラベルまたは変数などのシンボルを表すため、CommandSymbolフィールドに値を設定します。

// パーサーにおけるA命令を読み込む処理
func (p *Parser) readAInstruction() (Command, error) {
	p.pos++

	// 次の1文字を読み込む
	ch := p.peek()
	switch {
	case p.isDigit(ch):
		// 数値から始まる場合、連続した数値をアドレスとして読み込む
		addr, err := ParseAddress(p.readNumber())
		if err != nil {
			return Command{}, fmt.Errorf("invalid address: %v", err)
		}
		return Command{
			Type:    AInstruction,
			Address: addr,
		}, nil
	default:
		lit := ""
		// シンボルを表す文字列を読み込む
		for !p.isWhiteSpace(p.peek()) {
			lit += string(p.peek())
			p.pos++
		}
		return Command{
			Type:   AInstruction,
			Symbol: lit,
		}, nil
	}
}

C命令の読み込み処理の実装

パーサーのC命令の読み込み処理は、上述のC命令のシンタックスである<格納先>=<演算>;<ジャンプ命令>の順番に行います。

// パーサーにおけるC命令を読み込む処理
func (p *Parser) readCInstruction() (Command, error) {
	lit := ""
	cmd := Command{
		Type: CInstruction,
	}
	// ジャンプ命令が含まれるかどうかのフラグ
	jump := false
	// 命令を読み込み完了するまでのループ
	for !p.isWhiteSpace(p.peek()) {
		// 次の1文字を読み込む
		ch := p.peek()
		switch ch {
		case '=':
			// 格納先命令の検出
			cmd.Dest = lit
			lit = ""
			p.pos++
		case ';':
			// ジャンプ命令の検出
			jump = true
			cmd.Comp = lit
			lit = ""
			p.pos++
		}
		lit += string(p.peek())
		p.pos++
	}
	if jump {
		// ジャンプ命令の読み込み完了
		cmd.Jump = lit
	} else {
		// 演算命令の読み込み完了
		cmd.Comp = lit
	}
	// 演算命令が指定されていない場合はエラー
	if cmd.Comp == "" {
		return Command{}, fmt.Errorf("invalid C instruction: %v", cmd)
	}
	return cmd, nil
}

シンボルテーブルの実装

シンボルテーブルはシンボルとアドレスのマッピングを保持する構造体です。

// シンボルテーブル
type SymbolTable struct {
	store       map[string]Address
	nextAddress Address
}

インスタンス作成時に予約されたレジスタのシンボルを登録します。ACKコンピュータの予約シンボルは、アセンブリ言語からアドレスを直接指定しなくても、@R1@SCREENのように最初から使えるようになっているメモリ(RAM)のアドレスのことです。汎用用途としてのR01R15と、周辺機器であるスクリーン、キーボードがマッピングされているメモリアドレスの値などがあります。変数のシンボルは、予約シンボルと同じくRAMに値を格納するため、予約された領域を飛ばして16番以降に順番に登録されます。

// 予約されたシンボルを登録する
func (s *SymbolTable) addPredefinedSymbols() {
	s.store["SP"] = 0
	s.store["LCL"] = 1
	s.store["ARG"] = 2
	s.store["THIS"] = 3
	s.store["THAT"] = 4
	s.store["SCREEN"] = 16384
	s.store["KBD"] = 24576
	for i := 0; i < numRegisters; i++ {
		s.store[fmt.Sprintf("R%d", i)] = Address(i)
	}
}

変数の追加を行う実装
変数は16番以降のメモリを利用するため、登録するごとに次のアドレスをインクリメントしています。

// 変数シンボルを登録する
func (s *SymbolTable) AddVariable(symbol string) {
	s.store[symbol] = s.nextAddress
	s.nextAddress++
}

ラベルの追加を行う実装
ラベルはアセンブラがマシン語に変換する際に、ジャンプ先の命令アドレス(ROMのアドレス)に書き換えられ、その後、アセンブル結果のバイナリには含まれません。そのためRAMのアドレス番地を指定するnextAddressのインクリメントは不要です。

// ラベルシンボルを登録する
func (s *SymbolTable) AddLabel(symbol string, address Address) {
	s.store[symbol] = address
}

シンボルのアドレスを取得する実装
シンボルが登録されていれば、そのシンボルのアドレスを返します。シンボルが登録されていなければエラーを返します。

// シンボルのアドレスを返す
func (s *SymbolTable) GetAddress(symbol string) (Address, error) {
	if !s.Contains(symbol) {
		return 0, fmt.Errorf("symbol %s not found", symbol)
	}
	return s.store[symbol], nil
}

コーダーの実装

コーダーは、パーサーが理解した命令の内容をマシン語に変換するプログラムです。

// Commandをマシン語に変換する
func (c *Coder) Code(cmd Command) (string, error) {
	switch cmd.Type {
	case CInstruction:
		// C命令の場合
		// 演算内容をコード変換する
		comp, err := c.codeComp(cmd.Comp)
		if err != nil {
			return "", err
		}
		// 演算結果の格納先をコード変換する
		dest, err := c.codeDest(cmd.Dest)
		if err != nil {
			return "", err
		}
		// ジャンプ命令をコード変換する
		jump, err := c.codeJump(cmd.Jump)
		if err != nil {
			return "", err
		}
		// 上記の3つの指示をマシン語の命令にして返却する
		return "111" + comp + dest + jump, nil
	case AInstruction:
		// A命令の場合
		if cmd.Symbol != "" {
			// シンボルが指定されている場合は、アドレスに解決する
			symbolAddress, err := c.symbols.GetAddress(cmd.Symbol)
			if err != nil {
				return "", err
			}
			// 解決されたアドレスをコードに変換する
			code, err := c.codeAddr(symbolAddress)
			if err != nil {
				return "", err
			}
			return "0" + code, nil
		}
		// アドレス(数値)をコードに変換する
		addr, err := c.codeAddr(cmd.Address)
		if err != nil {
			return "", err
		}
		return "0" + addr, nil
	default:
		// C命令でもA命令でもない場合はエラー
		return "", fmt.Errorf("invalid command type: %v", cmd.Type)
	}
}

まとめ

本記事では、コンピュータの動作原理を基礎から学べる教材であるNand to Tetrisについて、前半部分のアセンブラの実装を中心に解説しました。Nand to Tetrisは、コンピュータの動作原理を論理回路レベルから体感しながら学べる教材で、CPU、メモリ、アセンブラなどの設計と実装を通して、コンピュータの仕組みを深く理解することができます。

アセンブラは、人間が理解しやすいアセンブリ言語で書かれたプログラムを、CPUが直接処理できるマシン語に変換するソフトウェアです。

Nand to Tetrisの学習を通じて、コンピュータの動作原理を深く理解することができます。これは、現代のソフトウェア開発において必須の知識ではありませんが、コンピュータサイエンスの基礎を身につける上で非常に有益です。本記事が、皆さまの学習の一助となれば幸いです。

tacomsテックブログ

Discussion